Readmemb vs readmemh. Can you please change the file extension from .
Readmemb vs readmemh. Xilinx has slightly better support than Altera.
Readmemb vs readmemh You switched accounts Mar 17, 2018 · 在FPGA中我们写完一个模块后,常常在用modelsim仿真完之后还是想单独生产bit文件上板跑一下看看结果是否和仿真结果一致,但是这时对于输入数据我们只能自已产生, Oct 11, 2022 · 导航 博客园 首页 新随笔 联系 订阅 管理 Nov 11, 2024 · Flink自称是一个低延迟、高吞吐、有状态、容错的流式计算矿建。其中容错在之前的《Flink的ExactlyOnce语义与Checkpoint的实现》文章中已经说过了,容错是在数据流中周 Oct 16, 2010 · 系统函数$fopen用于打开一个文件,并还回一个整数指针.然后,$fdisplay就可以使用这个文件指针在文件中写入信息,写完后,则可以使用$fclose Sep 13, 2021 · 一般常用到的系统函数有几个:$readmemb,$readmemh,$display,$fmonitor,$fwrite,$fopen,$fclose等 fscanf函数: $fscanf(文件指针,读取 Jul 26, 2020 · 这里的mem就是一个存储器。前面的是位宽,后面的是地址。这个和存储器的结构是十分相似的。对于这类变量,一般用于仿真存储设备。readmemb可以读取存储器,显示为二进制。readmemh可以显示为16进制。 Dec 11, 2021 · 如上图,在进行顶层模块仿真时readmemh函数要读取路径里的文档数据,在仿真时uart_rx模块的rx接收该路径下的数据,仿真波形显示红色,没接收到。搞了好久没发现问题所 May 17, 2020 · 开放原子开发者工作坊旨在鼓励更多人参与开源活动,与志同道合的开发者们相互交流开发经验、分享开发心得、获取前沿技术趋势。工作坊有多种形式的开发者活动, Hi, I'm trying to make vivado infer BRAM to store data from two external data files, I've tried using ram_style, I tried using the language template and I've read Oct 8, 2021 · 本文将介绍一种在FPGA中实现读取文件的方法——使用Verilog语言中的readmemh函数。使用readmemh函数需要注意读取的文件必须为纯 May 12, 2024 · 1、资源项目源码均已通过严格测试验证,保证能够正常运行; 2、项目问题、技术讨论,可以给博主私信或留言,博主看到后会第一时间与您进行沟通; 3、本项目比较适合计 Sep 25, 2021 · 但是我综合出来的是使用LUT-RAM,请问有办法控制生成是使用Block-RM吗? Apr 18, 2023 · 这两个系统任务是用来从指定文件中读取数据到寄存器数组或者RAM、ROM中。除了可以在仿真的任何时刻被执行使用外,根据 May 13, 2013 · $readmemb和$readmemh,verilog HDL程序中的两个系统任务,$readmemb和$readmemh,从文件中读取数据到存储器。其格式如下:(1)$readmemb Jul 27, 2015 · Tour Start here for a quick overview of the site Help Center Detailed answers to any questions you might have Meta Discuss the workings and policies of this site 除了readmemb命令外,ModelSim还提供了其他一些用于读取文件的命令,如readmemh和fread。这些命令与readmemb相似,但有一些细微的差异。 - readmemh:用于读取十六进制格式的 Nov 5, 2011 · 在verilog中有$readmemh(“filename”, mem_name)命令,在使用这个命令时,” filename”中的路径要用反斜杠'/',而不是斜杠'\',切记 Aug 7, 2023 · 在Verilog中,`readmemh` 和 `readmemh` 是用于从文件中读取十六进制数据的预处理指令。在这个例子中,`mem. so if we don't know the depth I have three variables, x,y,z which acts as an input to my SV model. This example also works for the Xilinx ISE tool chain, but I don't know the User I am using readmemh/readmemb to read from the file. Will make a separate post if I can confirm a bug on your end. Verilog 2005 / SystemVerilog $readmemh behavior and Vivado HLS output Hi, I would like to use readmemh within task/module where the filename comes from input string (to task) or as parameter (to module). readmemh wants hex, and readmemb How to read hexadecimal values from a file using readmemh function. util. In both cases I add the file to my Vivado project using a command like But in the example, he uses readmemb, but the structure should be the same for readmemh, right? Another question is, when I compile using Vivado, where should I put this file? Into the With readmemh you can also try giving the start position and end position. 3节为例。 Jan 7, 2025 · This page contains Verilog tutorial, Verilog Syntax, Verilog Quick Reference, PLI, modelling memory and FSM, Writing Testbenches in Verilog, Lot of Verilog Examples and Apr 19, 2018 · 文章浏览阅读1. sourceforge. I added the file (with a . mem", memory_array, [start_address], Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about You signed in with another tab or window. com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, There is a difference between CREATING/INFERRING a RAM block (which is synthesizeable) and generating the data to populate such a RAM block (this is non synthesizeable ). Reload to refresh your session. the source code was in sub folder, no problem, but $(function) look for hex file Unfortunately, I don't think so. This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register. . The file is not in the same directory as my Veriliog source. 重启虚拟机查看虚拟机IP 3. In both cases, the simulator fails to read the file and load the data into the rom You're simply reading in bytes. Use 'readmemh' command to read hexadecimal values. RISC-V Atom CPU; SoC Targets; Memory Map and Boot Welcome to EDAboard. Xilinx allows any generic/constant/literal to be used for a filename, while Altera only reg [31:0] rom_mem[0:100]; The above declaration means that you have a memory with 101 32-bit words. Having some other issues with my current code as well but not sure if they're bugs on my end or with Yosys. In the text file, each string is treated as a hexadecimal or binary number - the characters are represented in Hi, I created a simple verilog modules which will read memory file (. Each has the following format and nothing else (example): 03 A0 15 B7 Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys) 0 前言. The only options that I am aware of are readmemh and readmemb, and neither of these can read in binary data directly. I tried checking the shared files and When I use your code to read the file, I get warning messages with 2 different simulators. You switched accounts Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about If you run your simulation as part of a script (which is a common practice), you could avoid the issue you are facing by either copying file. Thanks, When memory is not inferred (for example, where it is used as constant), Vivado Synthesis reports the following warning: Are you reading hex and dumping into an array of real? Probably unrelated, but have you dug into that "VC++ 2008 runtime libraries" issue too? Could you elaborate on this answer? This does not seem to work for me. txt" with ". Declare an integer to set a Ambiguous Verilog 2001 vs. I did all this, my problem was related to syntax used in the code to fetch I wrote the following testbench in verilog that writes a file and then reads the values back. Memory File Syntax. loadMemoryFromFile class Foo(val bits: Int, val size: Initial Constructs and Memory System Tasks Verilog HDL Code: Initializing RAM with the readmemb Command Text File Format: Initializing RAM with the readmemb Command not so long time ago i have almost the same problem: ISIM was unable to view my hex files for simulation. Can you please change the file extension from . txt format for the file. Are you giving correct path? Thanks, Anusheel-----Search for documents/answer records related to your device and tool before posting query on forums. Each of the input are of 16bit length binary data and I have 500 values of them. Is there something better? I would like to read the contents of a file into a queue, rather When memory is not inferred (for example, where it is used as constant), Vivado Synthesis reports the following warning: This page contains Verilog tutorial, Verilog Syntax, Verilog Quick Reference, PLI, modelling memory and FSM, Writing Testbenches in Verilog, Lot of Verilog Examples and Verilog in One Because 21. 000036274 - Adaptive SoCs & FPGA Design Tools - Licensing Solution Center; 72775 - Vivado IP Change Log Master Release Article. /sim/Romfile. Verilog 2005 / SystemVerilog $readmemh behavior and Vivado HLS output 1、概述 $readmemh与$readmemb这两个系统任务是用来从指定文件中读取数据到寄存器数组或者RAM、ROM中。除了可以在仿真的任何 The test memory has 16 locations [0:15] (depth) each of 8 bits [7:0] (data width). 概述 这两个系统任务是用来从指定文件中读取数据到寄存器数组或者RAM、ROM中。除了可以在仿真的任何时刻被执行使用外,根据综合工具的不同,也可以用来对RAM或者ROM进行初始化(Vivado支持)。 Ah, I seem to be poor at searching for answers at night. 3k次。本文首发于公众号【木叶芯】,版权所有,禁止转载。如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为。作者昵称:城外南风起原文链接:VCS与verdi联合仿真:读取数 Jun 12, 2024 · Vivado是一种用于FPGA设计的开发工具,它支持Verilog门级原语和三种generate语法。Verilog门级原语包括模块实例、initial或always程序块、连续赋值、网络和变量声明、参 之前在学《自己动手写CPU》的时候,用modelsim仿真时总是读不到数据,后来发现是因为没有把. The hex_memory_file. In the meantime I came up with the following solution `define OTP_ROM_FILE_PATH “/src/rcf/” 单周期CPU. data to . mem file consists of text hex/binary values separated by Because 21. 7k次。1、环境变量PATH程序是由操作系统执行 是吧!要执行程序,你的找到它吧,这就是 PATH 环境变量做的事。比如 win下PATH中的路径,是指在DOS Sep 4, 2024 · Verilog语言提供了一个非常有用的函数——readmemh,可以读取一个十六进制格式的文件并将其内容写入到内存中。在initial块中,我们使用readmemh函数从文件"data_file. hex suffix) to my project. experimental. 设置virtualbox网络连接模式为桥接网卡模式 2. For your first solution is a bit hard to implement it right now but I will. _ import chisel3. net/man/man5/srec_vmem. 8. Mobile friendly $readmemh("hex_memory_file. dave_59 February 25, 2020, 6:37am 3. Both options pass xvlog and xelab. 4 I can see the following “When $readmemh or $readmemb is given a file with address entries, initialization of the specified highest But in the example, he uses readmemb, but the structure should be the same for readmemh, right? Another question is, when I compile using Vivado, where should I put this file? Into the Verilog语言提供了一个非常有用的函数——readmemh,可以读取一个十六进制格式的文件并将其内容写入到内存中。在initial块中,我们使用readmemh函数从文 You signed in with another tab or window. 4 says "The numbers [in the bin or hex file] shall have neither the length nor the base format specified", it seems to me that the Verilog spec doesn't make clear Hi @kimchaexeyu9 "I just checked the timing report now and it says its frequency is 100MHz, and the design failed to meet the timing requirements. txt into the directory where you run Hi, @doggie_ovo3@q7 well the text file should be renamed to . com Welcome to our site! EDAboard. In reply to avinash_nambiar: When yopu say the mem file, do you mean the file called Regarding systemverilog file IO, $readmemh has been around a long time. Data has to Mobile Verilog online reference guide, verilog definitions, syntax and examples. I need to supply them as input to my module 网络互通 1. Fortunately Verilog To read data from a file and store it in memory, use the functions: $readmemb and $readmemh. Saved searches Use saved searches to filter your results more quickly There is a difference between CREATING/INFERRING a RAM block (which is synthesizeable) and generating the data to populate such a RAM block (this is non synthesizeable ). hex` 文件中的内容将会被读取并填充到 `mem` 数组中 Sep 2, 2024 · 数字中不能包含位宽说明和格式说明,其中readmemb要求每个数字是二进制数,readmemh要求每个数字必须是十六进制数字。 数字中不定值x或X,高阻值z systemverilog Mar 3, 2024 · **读取MIF文件**:在ModelSim的VHDL或Verilog代码中,使用库函数(如readmemh或readmemb)读取MIF文件内容,并加载到内存模型中。 2. Hi, i prepared a mem file containing 1023 lines with a 16bit word in each line, lines are not separated by commas, is that possibly an issue? I simply want to Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about Hi, You could try using a relative path, that is: Replace "Romfile. For anyone in the future who stumbles upon this through searching, if that link is This page contains Verilog tutorial, Verilog Syntax, Verilog Quick Reference, PLI, modelling memory and FSM, Writing Testbenches in Verilog, Lot of Verilog Examples and Verilog in One From the 2017 LRM section 21. It can be executed and used at any time during the simulation, and there are a total of six formats: $readmemb You want to initialize memory from a file using Verilog. Add code to take care of the fact that Java treats bytes as signed, or that there's no support for unsigned integers (important when dealing with 32 bits) etc etc and you have the prefect Type. **设置仿真环境**: May 26, 2022 · FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既 Oct 31, 2023 · 若依管理存在任何文件读取漏洞检测系统,渗透测试若一管理系统发生任意文件读取若依管理系统存在任何文件读取免责声明使用本程序请自觉遵守当地法律法规,出现一切后 May 3, 2015 · 系统任务$readmemb和$readmemh 这两个系统任务用来从文件中读取数据到存储器中。可以在仿真的任何时刻被执行使用,使用格式共 Jun 25, 2024 · 文章浏览阅读336次,点赞5次,收藏2次。当数据文件被读取时,每个被读取的数字都没存放到地址连续的存储器单元中去,存储器单元的存放地址范围由系统任务声明语句中的 Mar 7, 2023 · vivado中verilog里关于readmemb和readmemb和readmemb和readmemh的使用 这两天学习verilog语言时,对readmemb和readmemb和readmemb和readmemh怎么读文件里的 May 13, 2013 · 51CTO博客已为您找到关于readmemh无法读入数据的相关内容,包含IT学习相关文档代码介绍、相关教程视频课程,以及readmemh无法读入数据问答内容。更多readmemh无法 Sep 15, 2023 · Modelsim支持VHDL或Verilog等硬件描述语言,通过readmemh或readmemb指令可以读取十六进制或二进制格式的文件,将数据加载到FPGA设计的内存中。这样,Matlab生成 Oct 10, 2021 · 文章浏览阅读1. // Verilog Test Fixture Template `timescale 1 ns / 1 ps module Read_And_Write_File; /*Add Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about Hi I was wondering if reading in LUT values into RAM using the readmemh or readmemb function in veriliog is synthesizable ? for instance code below. mem or bin_memory_file. dat. I have a vague understanding that these functions basically read to and write $readmemh("hex_memory_file. Description "r" or "rb" Open for reading "w" or "wb" Create for writing "a" or "ab" Append "r+" or "rb+" or "r+b" Open for update (reading and writing) Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about Verilog语言提供了一个非常有用的函数——readmemh,可以读取一个十六进制格式的文件并将其内容写入到内存中。在initial块中,我们使用readmemh函数从文 Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about Hi, I'm trying to make vivado infer BRAM to store data from two external data files, I've tried using ram_style, I tried using the language template and I've read Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys) #verilog #vlsidesign Verilog allows you to initialize memory from a text file with either hex or binary values: $readmemb/h("hex_memory_file. You signed out in another tab or window. mem) for initialization. 开启window的telnet程序 控制面板->程序->启用或关闭windows功能->勾选Telnet客户端->确定->重新打开cmd窗口测试虚拟机端口是否连通 连通会进入 まえおきVerilog-HDLのシミュレーションを行う際に、テストデータを外部ファイルに書いておき、(コンパイル時ではなく)シミュレーション実行時に読み込ませる手法はよく使われている手段です。フ Action Movies & Series; Animated Movies & Series; Comedy Movies & Series; Crime, Mystery, & Thriller Movies & Series; Documentary Movies & Series; Drama Movies & Series Verilog-2001之generate语句的用法 Verilog-1995 支持通过以声明实例数组的形式对primitive和module进行复制结构建模。而在Verilog-2001里,新增加的generate语句拓展了这种用法(其 @kevinneilsonlso2. mem", memory_array, [start_address], These two system tasks are used to read data from the file to the memory. hex to . [0:100] means there are 101 locations (addresses) in the Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about File operation using readmemh for reading hexadecimal values from test files. 博主我查了很多资料,虽然会使用Verilog的 r e a d m e m h 和 readmemh和 r e a d m e m h 和 readmemb函数,可是,在vivado软件中怎么用? 文件放在哪里?没有一篇文章提及。 花了几个小时,终于研究明白了,特此 This page contains Verilog tutorial, Verilog Syntax, Verilog Quick Reference, PLI, modelling memory and FSM, Writing Testbenches in Verilog, Lot of Verilog Examples and Verilog in One You're simply reading in bytes. dat文件,不会有换不换行的问题 May 12, 2024 · 0 前言 博主我查了很多资料,虽然会使用Verilog的readmemh和readmemh和readmemh和readmemb函数,可是,在vivado软件中怎么用?文件放在哪里?没有一篇文章 Apr 2, 2022 · 在Verilog中,文件读取和写入是非常有用的。从文件中读取测试激励输入,并写入输出以供比对。在Verilog中读取或写入文件的方法很少。如何使用readmemh函数从文件中读取 Oct 31, 2023 · r 只读打开一个文本文件,只允许读数据。w 只写打开一个文本文件,只允许写数据。如果文件存在,则原文件内容会被删除。如果文件不存在,则创建新文件。 May 21, 2020 · Hi Dave. The $readmemb task reads binary data and $readmemh reads hexadecimal data. A simple example: import chisel3. Add code to take care of the fact that Java treats bytes as signed, or that there's no support for unsigned integers (important when dealing with 32 bits) etc etc and you have the prefect Xilinx presented this use case in the Synthesis User Guide for Vivado UG901 on page 126. Then, I packaged the IP to become custom IP using i want to provide hex data as: base_address + offset hex file --> 0x1C00_0000+0x08 output --> Warning-[STASKW_RMIEAFL] Illegal entry i have tried Thanks. If i use readmemb function in initial Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about for FPGAs, readmemh is synthesizable. How to read binary values from a file using readmemb function. I had simulated the RTL and it is working fine. How to write a file with binary values using Hi, Can you try changing . txt" Sep 16, 2013 · Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys) Oct 17, 2023 · 文章浏览阅读156次。readmemh是SystemVerilog中用于读取存储在文件中的十六进制数据的函数。它的参数是文件路径,可以是绝对路径或相对路径。相对路径是相对于当前工 Dec 9, 2009 · 2# whxqq 要换行的吧?我一般用的是*. Contribute to BePostman/SingleCPU development by creating an account on GitHub. " Timing should be clean before you take Please specify either the absolute or relative path in readmemh/ readmemb, as I suspect it cannot find the specified file, also, use the . Ambiguous Verilog 2001 vs. By continuing to use this site, you are consenting to our use Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about The file read by readmemh and readmemb are not binary files - they are text files. data文件移动到modelsim所在的工程下。以课本2. In the text file, each string is treated as a hexadecimal or binary number - the characters are represented in Trending Articles. loadMemoryFromFile() creates a separate file and uses a SV bind statement. data and rerun the flow? This should help to resolve the issue. Xilinx has slightly better support than Altera. I found this link which answers my question. However, when // Data values are either in binary (for readmemb) // or in hex (for readmemh) // Unitialized locations will contain ’X’ // You can place underscore symbols in the middle of // data values to Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers; Advertising & Talent Reach devs & technologists worldwide about your product, service or employer brand; OverflowAI GenAI When memory is not inferred (for example, where it is used as constant), Vivado Synthesis reports the following warning: Building RISC-V Atom; RISC-V Atom Development in Docker; Running Examples on AtomSim; Documentation & User Manual. but we have to specify the size of memory array before readmemh to pass as its argument. mem and uploaded in your design sources to be used. mem", memory_array, [start_address], [end_address]) $readmemb("bin_memory_file. mem", memory_ar Looking at the documentation, I see that readmemh allows me to indicate a start and an end address, but what I am seeing is that it refers to the position in the destination I think I found a case where $readmemh() works differently in Vivado simulation and synthesis. I have been looking at some Verilog testbench code that heavily uses $readmemh and $writememh. txt" This might get you past the problem, at the expense of forcing the directory structure to always 1、环境变量PATH 程序 是由操作系统执行 是吧!要执行程序,你的找到它吧,这就是 PATH 环境变量做的事。 比如 win下 PATH 中的路径,是指在DOS下,你可以直接输入 Another option would be to use the srecord program, which supports the verilog VMEM format: http://srecord. 4 says "The numbers [in the bin or hex file] shall have neither the length nor the base format specified", it seems to me that the Verilog spec doesn't make clear I am trying to use readmemh properly for acquiring a picture pixel by pixel. It's common for a simulation or firmware to need data loading into a memory array, ram, or rom. For now, I have converted a picture into rgb texts using matlab. html © 2014-2025 Ed The file read by readmemh and readmemb are not binary files - they are text files.
ijnws biwm jraqj yteaa euotwzw dgqcdszd ixjsz lid xfh abhf
{"Title":"What is the best girl
name?","Description":"Wheel of girl
names","FontSize":7,"LabelsList":["Emma","Olivia","Isabel","Sophie","Charlotte","Mia","Amelia","Harper","Evelyn","Abigail","Emily","Elizabeth","Mila","Ella","Avery","Camilla","Aria","Scarlett","Victoria","Madison","Luna","Grace","Chloe","Penelope","Riley","Zoey","Nora","Lily","Eleanor","Hannah","Lillian","Addison","Aubrey","Ellie","Stella","Natalia","Zoe","Leah","Hazel","Aurora","Savannah","Brooklyn","Bella","Claire","Skylar","Lucy","Paisley","Everly","Anna","Caroline","Nova","Genesis","Emelia","Kennedy","Maya","Willow","Kinsley","Naomi","Sarah","Allison","Gabriella","Madelyn","Cora","Eva","Serenity","Autumn","Hailey","Gianna","Valentina","Eliana","Quinn","Nevaeh","Sadie","Linda","Alexa","Josephine","Emery","Julia","Delilah","Arianna","Vivian","Kaylee","Sophie","Brielle","Madeline","Hadley","Ibby","Sam","Madie","Maria","Amanda","Ayaana","Rachel","Ashley","Alyssa","Keara","Rihanna","Brianna","Kassandra","Laura","Summer","Chelsea","Megan","Jordan"],"Style":{"_id":null,"Type":0,"Colors":["#f44336","#710d06","#9c27b0","#3e1046","#03a9f4","#014462","#009688","#003c36","#8bc34a","#38511b","#ffeb3b","#7e7100","#ff9800","#663d00","#607d8b","#263238","#e91e63","#600927","#673ab7","#291749","#2196f3","#063d69","#00bcd4","#004b55","#4caf50","#1e4620","#cddc39","#575e11","#ffc107","#694f00","#9e9e9e","#3f3f3f","#3f51b5","#192048","#ff5722","#741c00","#795548","#30221d"],"Data":[[0,1],[2,3],[4,5],[6,7],[8,9],[10,11],[12,13],[14,15],[16,17],[18,19],[20,21],[22,23],[24,25],[26,27],[28,29],[30,31],[0,1],[2,3],[32,33],[4,5],[6,7],[8,9],[10,11],[12,13],[14,15],[16,17],[18,19],[20,21],[22,23],[24,25],[26,27],[28,29],[34,35],[30,31],[0,1],[2,3],[32,33],[4,5],[6,7],[10,11],[12,13],[14,15],[16,17],[18,19],[20,21],[22,23],[24,25],[26,27],[28,29],[34,35],[30,31],[0,1],[2,3],[32,33],[6,7],[8,9],[10,11],[12,13],[16,17],[20,21],[22,23],[26,27],[28,29],[30,31],[0,1],[2,3],[32,33],[4,5],[6,7],[8,9],[10,11],[12,13],[14,15],[18,19],[20,21],[22,23],[24,25],[26,27],[28,29],[34,35],[30,31],[0,1],[2,3],[32,33],[4,5],[6,7],[8,9],[10,11],[12,13],[36,37],[14,15],[16,17],[18,19],[20,21],[22,23],[24,25],[26,27],[28,29],[34,35],[30,31],[2,3],[32,33],[4,5],[6,7]],"Space":null},"ColorLock":null,"LabelRepeat":1,"ThumbnailUrl":"","Confirmed":true,"TextDisplayType":null,"Flagged":false,"DateModified":"2020-02-05T05:14:","CategoryId":3,"Weights":[],"WheelKey":"what-is-the-best-girl-name"}